Промышленное производство
Промышленный Интернет вещей | Промышленные материалы | Техническое обслуживание и ремонт оборудования | Промышленное программирование |
home  MfgRobots >> Промышленное производство >  >> Industrial materials >> Наноматериалы

IBM на SPIE:семь достижений в области создания микросхем, превышающих 7 нм

Когда мы вместе с нашими партнерами из GLOBALFOUNDRIES и Samsung анонсировали первые в отрасли тестовые чипы с 7-нанометровыми узлами (7-нм) в 2015 году, мы знали, что процесс достижения чипами «производственной зрелости» - возможно, уже в следующем году будет быстрым. По мере того, как эти усилия в полупроводниковой промышленности ускоряются, IBM продолжает расширять границы, сосредотачиваясь на задачах следующих узлов за пределами 7-нанометрового диапазона.

Наша команда IBM представляет семь докладов на конференции по продвинутой литографии Международного общества оптики и фотоники (SPIE) на этой неделе. сосредоточены на исследовании и внедрении технологий за пределами 7-нм узла. И это начинается с литографии в крайнем ультрафиолете (EUV).

С 7-нм микросхемами мы сейчас создаем конструкции, связанные с размером транзистора или даже проводкой между транзисторами, которые действительно находятся на атомарном уровне. Ключевым моментом для достижения такого масштабирования без излишне сложных схем формирования паттернов является EUV. За одну экспозицию EUV может создать рисунок с высоким разрешением, который недостижим с помощью предшествующих процессов формирования рисунка полупроводников. Однако для создания паттерна EUV требуется специальное оборудование, процессы и ноу-хау, которые можно найти в исследовательских лабораториях IBM в Олбани, штат Нью-Йорк, и Йорктаун-Хайтс, штат Нью-Йорк. Эти семь достижений, представленных на SPIE, касающихся EUV-масок (шаблонов, используемых для печати схем на кремниевой пластине) и материалов для создания рисунков (активируемых светом «резистов» или устойчивых к травлению материалов), можно рассматривать как критически важные для внедрения 7-нм технологии. и не только:

В разделе Оптимизация замысла проекта на узле за пределами 7 нм:пересечение методов стохастического смягчения DTCO и EUVL Майкл Кроуз показывает, что даже несмотря на большое название его выступления, небольшие изменения в конструкции проводки могут значительно улучшить условия печати. На Рисунке 1 он показывает, что, если оптимизация формы маски сделана правильно, непрерывные линии без разрывов могут быть напечатаны с наименьшими размерами, необходимыми для узла 7 нм и выше.

Рисунок 1. :Улучшение оптимизации контраста для двумерной металлической разводки, продемонстрированное с помощью EUV-литографии. С улучшением, показанным справа, элементы размером 20-30 {ccf696850f4de51e8cea028aa388d2d2d2eef894571ad33a4aa3b26b43009887} меньшего размера, чем у 7-нм узла, могут быть напечатаны более надежно, а физическая / электрическая изменчивость может быть значительно снижена.

В статье Исследование альтернативных наборов масок в EUV-литографии Мартин Буркхардт моделирует характеристики новых материалов, которые в настоящее время не используются для изготовления масок EUV. Рассматривая всю таблицу Менделеева, он может проиллюстрировать, что маски EUV, обеспечивающие еще лучший контраст, находятся в пределах нашей досягаемости. Фактически изготовление масок из некоторых из этих материалов позволило бы получить более точные рисунки на кремниевой пластине без каких-либо дальнейших изменений в технологии EUV и, таким образом, позволило бы отрасли легко масштабироваться за пределы 7 нм.

В разделе Комплексный анализ шероховатости по краям и ширине линии для EUV-литографии , Рави Бонам демонстрирует, как можно четко охарактеризовать небольшие дефекты и изгибы в линии разводки, и тем самым показывает, в каких условиях возникают наиболее прямые характеристики, критически важные для повышения технологичности процесса формирования узора EUV, а также производительности и выхода микросхемы.

В разделе Основы взаимодействия EUV-резиста и неорганической жесткой маски Дарио Гольдфарб стремится улучшить стек пленок из материала для формирования рисунка (точку перехода от рисунка к пластине) за счет улучшения физических и химических взаимодействий на границах раздела между этими пленками, которые часто не слипаются друг с другом. Он использует это понимание для создания определенных химических взаимодействий для удержания этих материалов вместе (показано на рисунке 2), что имеет решающее значение для обеспечения того, чтобы эти крошечные формы с EUV-рисунком могли оставаться на месте достаточно долго, чтобы перенести свои узоры на подложку ниже.

Рисунок 2. :На фоторезисте EUV, который ранее было невозможно нанести на твердую маску из неорганического кремния, теперь можно четко сформировать узор после индивидуальной настройки поверхности с учетом комбинации резиста и твердой маски.

В той же теме, что и в выступлении Дарио Гольдфарба, Индира Сешадри демонстрирует в ультратонком стеке узоров EUV, используя полимерную кисть в качестве слоя, способствующего адгезии , что за счет использования подобного полимеру материала, который соединяет крошечные элементы EUV-рисунка и нижележащую подложку, эти узоры с очень высоким разрешением могут быть вытравлены на подложке ниже и сохранят заданную форму конструкции, что является ключом к обеспечению податливых микросхем на этой длине. весы.

При таком большом количестве новых тонкопленочных решений ключевую роль играет способность распознавать и улучшать производственные процессы на ранних этапах исследований. В докладе под названием Снижение плотности дефектов в стопках композитных пленок EUV с рисунком , Luciana Meli демонстрирует систематические тематические исследования, используя гибрид доступных методов проверки дефектов, чтобы продемонстрировать улучшения процесса и материалов, необходимые для обеспечения низкого уровня дефектности, необходимого для технологичности 7 нм и выше.

Объединив многие из этих концепций визуализации и улучшения материалов, Ануджа Де Силва представит доклад, озаглавленный Разработка одноэкспозиционного паттерна для EUV-литографии , демонстрируя успешное моделирование электрических схем с размерами 30 нм и ниже, необходимыми за пределами узла 7 нм. Возможность достичь этого представляет собой большой скачок по сравнению с возможностями, впервые доступными для 7-нанометрового узла (см. Рисунок 3), и может фактически улучшить сам 7-нм узел, хотя она выделит проблемы, которые все еще остаются, чтобы обеспечить полностью функционирующие, годные к использованию микросхемы на размеры, необходимые для технологии, превышающей 7 нм.

7-нм чип имеет 20 миллиардов транзисторов в пространстве размером примерно с ноготь. Они внедряются в системы и устройства, расширяя возможности - и закон Мура - для выполнения все более сложных задач в области анализа данных, когнитивных вычислений и всего, что есть в мобильных приложениях следующего поколения. Работа, представленная на SPIE в этом году, подталкивает отрасль ближе к решениям для формирования паттернов, которые не только делают 7-нм техпроцесс более надежным с помощью EUV с однократной экспозицией, но и обеспечивают дальнейшее масштабирование для получения еще большего выигрыша в мощности / производительности на долгие годы.

Рисунок 3. :Инновации в нескольких аспектах процесса создания паттернов позволяют IBM предоставлять целостный процесс создания паттернов, позволяющий предоставлять размеры элементов, необходимые за пределами 7-нм узла.

Другие презентации IBM на SPIE 2017

Оптимизация замысла проекта на узле за пределами 7 нм:пересечение методов стохастического смягчения DTCO и EUVL , Майкл Кроуз, Николь Солнье, Деррен Данн

Исследование альтернативных наборов масок в EUV-литографии , Мартин Буркхардт

Разложение TCC с использованием некогерентных ядер для более быстрого расчета литографических изображений, Алан Розенблют

Разработка твердых масок, содержащих титан, путем осаждения PEALD Ануджа Де Силва

Параметры шаблонов DSA для приложений логики и памяти Чарли Лю

Электрическое исследование процесса усадки DSA и эффекта выпрямления компакт-дисков при длине волны менее 60 нм с использованием испытательной машины EUV, Чэн Чи

Достижение истинного наложения в расширенных узлах Чив-Сенг Коай

Стратегии отсутствия верхнего покрытия для контроля ориентации полностью органических блок-сополимеров с высоким χBlock, Дэн Сандерс

Идентификация и анализ чувствительности к надежности коррелированной основной системы правил (проектная дуга) Эрик Истман

Усовершенствованная быстрая разработка и калибровка 3D-моделей DSA для совместной оптимизации технологий проектирования Кафай Лай

Неожиданное воздействие газов RIE на литографические пленки Мартин Глодде

Прогнозирование электрических испытаний с использованием гибридной метрологии и машинного обучения Мэри Бретон

Снижение LER в Si и SiN за счет оптимизации RIE для приложений фотонных волноводов, Натан Маршак

Направленные стратегии создания паттернов самосборки для приложений памяти с фазовым переходом Р. Брюс

Характеристики материалов для интеграции в процесс многоканальных устройств Gate All Round (GAA) Раджа Мутинти

Комплексный анализ шероховатости линии и ширины линии для EUV-литографии Рави Бонам


Наноматериалы

  1. Мишень из вольфрамового титанового сплава для чипов
  2. Вольфрамовая мишень высокой чистоты для полупроводниковых чипов
  3. Выходите за рамки минимальных требований к сети Skypes для достижения наилучших результатов
  4. Обоснование использования нейроморфных чипов для вычислений ИИ
  5. Ученые IBM изобрели термометр для наномасштаба
  6. IBM 5 из 5:медицинские лаборатории «на чипе» будут выполнять роль медицинских детективов для отслеживания боле…
  7. Исследователи IBM получают премию за инновации в области исследований в области полупроводников
  8. IBM представляет архитектуру гибридной цепочки блоков для Интернета вещей
  9. Помимо AIOps:наблюдаемость для эффективных ИТ-операций
  10. Семь требований для оптимизированной обработки