Промышленное производство
Промышленный Интернет вещей | Промышленные материалы | Техническое обслуживание и ремонт оборудования | Промышленное программирование |
home  MfgRobots >> Промышленное производство >  >> Industrial programming >> Verilog

Верилог-порты

Порты — это набор сигналов, которые действуют как входы и выходы для определенного модуля и являются основным способом связи с ним. Думайте о модуле как о изготовленном чипе, размещенном на печатной плате, и становится совершенно очевидным, что единственный способ связи с чипом — через его контакты. Порты похожи на контакты и используются для отправки и получения сигналов из внешнего мира.

Типы портов

Порт Описание
Ввод Модуль дизайна может получать значения извне только с помощью своего 07 порты
Вывод Модуль дизайна может отправлять значения наружу только с помощью своего 14 порты
Вход Модуль дизайна может отправлять или получать значения, используя свой 20 порты

Порты по умолчанию рассматриваются как сети типа 34. .

Синтаксис

Порты объявлены как 46 может действовать как вход и выход.

01

Пример

В приведенном ниже коде есть три 59 порты, один 66 порт и один 79 порт.

10 

Использование одного и того же имени является незаконным. для нескольких портов.

28

Подписанные порты

86 Атрибут может быть присоединен к объявлению порта, объявлению net/reg или тому и другому. Неявные сети по умолчанию без знака .

32

Если объявление net/reg имеет 97 атрибут, то другой также считается подписанным.

40

Варианты портов

Верилог 1995

Verilog претерпел несколько изменений, и исходная версия IEEE 1995 года имела следующий способ объявления порта. Здесь объявление модуля должно было сначала перечислить имена портов в квадратных скобках, а затем направление этих портов, определенное позже в теле модуля.

58

Verilog 2001 и новее

Именование портов в стиле ANSI-C было введено в 2001 году и позволяло указывать тип внутри списка портов.

61

Если объявление порта включает сетевой или переменный тип, то этот порт считается полностью объявленным. Недопустимо переопределять один и тот же порт в объявлении сетевого или переменного типа.

73

Если объявление порта не включает сетевой или переменный тип, то порт можно снова объявить в сетевом или переменном типе.

80

Verilog

  1. Учебник Verilog
  2. Конкатенация Verilog
  3. Верилог Задания
  4. Блокировка и неблокировка Verilog
  5. Верилог-функции
  6. Верилог Задача
  7. Генератор часов Verilog
  8. Математические функции Verilog
  9. Формат времени Verilog
  10. Охват шкалы времени Verilog