Промышленное производство
Промышленный Интернет вещей | Промышленные материалы | Техническое обслуживание и ремонт оборудования | Промышленное программирование |
home  MfgRobots >> Промышленное производство >  >> Industrial programming >> Verilog

Математические функции Verilog

Вместо константных выражений можно использовать математические функции Verilog, которые поддерживают как целые и настоящие математика.

Целые математические функции

Функция $clog2 возвращает потолок log2 данного аргумента. Обычно это используется для вычисления минимальной ширины, необходимой для адресации памяти заданного размера.

Например, если проект имеет 7 параллельных сумматоров, то минимальное количество битов, необходимое для представления всех 7 сумматоров, составляет $clog2. из 7, что дает 3.

  
  
module des 
  #(parameter NUM_UNITS = 7) 
  
  // Use of this system function helps to reduce the 
  // number of input wires to this module
  (input [$clog2(NUM_UNITS)-1:0] active_unit);
  
  initial 
    $monitor("active_unit = %d", active_unit);
endmodule

`define NUM_UNITS 5

module tb;
  integer i;
  reg [`NUM_UNITS-1:0] 	active_unit;
  
  des #(.NUM_UNITS(`NUM_UNITS)) u0(active_unit);
  
  initial begin
    active_unit     = 1;     
	#10 active_unit = 7;
    #10 active_unit = 8;    
  end
endmodule

  

Обратите внимание, что сигнал active_unit имеет 3 бита для хранения всего 5 единиц.

Журнал моделирования
xcelium> run
active_unit = 001
active_unit = 111
active_unit = 000
xmsim: *W,RNQUIE: Simulation is complete.

Настоящие математические функции

Эти системные функции принимают реальные аргументы и вернуть real номер.

Функция Описание
$ln(x) Натуральный логарифм log(x)
$log10(x) Десятичный логарифм log10(x)
exp(x) Экспонента x (e x ), где e=2,718281828...
sqrt(x) Квадратный корень из x
$pow(x, y) х у
$floor(x) Этаж x
$ceil(x) Потолок x
$sin(x) Синус x, где x в радианах
$cos(x) Косинус x, где x в радианах
$tan(x) Тангенс x, где x в радианах
$asin(x) Арксинус x
$acos(x) Арккосинус x
$atan(x) Арктангенс x
$atan2(x, y) Арктангенс x/y
$hypot(x, y) Гипотенуза x и y :sqrt(x x + д г )
$sinh(x) Гиперболический синус x
$cosh(x) Гиперболический косинус x
$tanh(x) Гиперболический тангенс x
$asinh(x) Арк-гиперболический синус x
$acosh(x) Арк-гиперболический косинус x
$atanh(x) Арк-гиперболический тангенс x
  
  

module tb;
  real x, y;
  
  initial begin
    x = 10000;
    $display("$log10(%0.3f) = %0.3f", x, $log10(x));
    
    x = 1;
    $display("$ln(%0.3f) = %0.3f", x, $ln(x));
    
    x = 2;
    $display("$exp(%0.3f) = %0.3f", x, $exp(x));
    
    x = 25;
    $display("$sqrt(%0.3f) = %0.3f", x, $sqrt(x));
    
    x = 5;
    y = 3;
    $display("$pow(%0.3f, %0.3f) = %0.3f", x, y, $pow(x, y));
    
    x = 2.7813;
    $display("$floor(%0.3f) = %0.3f", x, $floor(x));
    
    x = 7.1111;
    $display("$ceil(%0.3f) = %0.3f", x, $ceil(x));
    
    x = 30 * (22.0/7.0) / 180;   // convert 30 degrees to radians
    $display("$sin(%0.3f) = %0.3f", x, $sin(x));
    
    x = 90 * (22.0/7.0) / 180;
    $display("$cos(%0.3f) = %0.3f", x, $cos(x));
    
    x = 45 * (22.0/7.0) / 180;
    $display("$tan(%0.3f) = %0.3f", x, $tan(x));
    
    x = 0.5;
    $display("$asin(%0.3f) = %0.3f rad, %0.3f deg", x, $asin(x), $asin(x) * 7.0/22.0 * 180);
    
    x = 0;
    $display("$acos(%0.3f) = %0.3f rad, %0.3f deg", x, $acos(x), $acos(x) * 7.0/22.0 * 180);
    
    x = 1;
    $display("$atan(%0.3f) = %0.3f rad, %f deg", x, $atan(x), $atan(x) * 7.0/22.0 * 180);    
  end
endmodule


  
Журнал моделирования
xcelium> run
$log10(10000.000) = 4.000
$ln(1.000) = 0.000
$exp(2.000) = 7.389
$sqrt(25.000) = 5.000
$pow(5.000, 3.000) = 125.000
$floor(2.781) = 2.000
$ceil(7.111) = 8.000
$sin(0.524) = 0.500
$cos(1.571) = -0.001
$tan(0.786) = 1.001
$asin(0.500) = 0.524 rad, 29.988 deg
$acos(0.000) = 1.571 rad, 89.964 deg
$atan(1.000) = 0.785 rad, 44.981895 deg
xmsim: *W,RNQUIE: Simulation is complete.


Verilog

  1. Учебник Verilog
  2. Конкатенация Verilog
  3. Верилог Задания
  4. Блокировка и неблокировка Verilog
  5. Верилог-функции
  6. Верилог Задача
  7. Генератор часов Verilog
  8. Формат времени Verilog
  9. Охват шкалы времени Verilog
  10. Операции ввода-вывода файлов Verilog