Промышленное производство
Промышленный Интернет вещей | Промышленные материалы | Техническое обслуживание и ремонт оборудования | Промышленное программирование |
home  MfgRobots >> Промышленное производство >  >> Industrial programming >> Verilog

Формат времени Verilog

Директива временной шкалы Verilog указывает единицу измерения времени и точность моделирования.

Верилог $timeformat системная функция указывает %t стиль сообщения спецификатора формата в операторах отображения, таких как $display и $strobe .

Синтаксис

  
  
$timeformat(<unit_number>, <precision>, <suffix_string>, <minimum field width>);

  

Номер блока Единица времени
-3 1 мс
-6 1us
-9 1 нс
-12 1пс
-15 1fs

Пример №1:1 нс/1 пс

Вот пример того, как $timeformat влияет на формат отображения единиц времени.

  
  
`timescale 1ns/1ps

module tb;
  bit 	a;
  
  initial begin
    
    // Wait for some time - note that because precision is 1/1000 of
    // the main scale (1ns), this delay will be truncated by the 3rd
    // position
    #10.512351;
    
    // Display current time with default timeformat parameters
    $display("[T=%0t] a=%0b", $realtime, a);
    
    // Change timeformat parameters and display again
    $timeformat(-9, 2, " ns");
    $display("[T=%0t] a=%0b", $realtime, a);
    
    // Remove the space in suffix, and extend fractional digits to 5
    $timeformat(-9, 5, "ns");
    $display("[T=%0t] a=%0b", $realtime, a);
    
    // Here suffix is wrong, it should not be "ns" because we are
    // setting display in "ps" (-12) 
    $timeformat(-12, 3, " ns");
    $display("[T=%0t] a=%0b", $realtime, a);
    
    // Correct the suffix to ps
    $timeformat(-12, 2, " ps");
    $display("[T=%0t] a=%0b", $realtime, a);
  end
endmodule

  
Журнал моделирования
xcelium> run
[T=10512] a=0
[T=10.51 ns] a=0
[T=10.51200ns] a=0
[T=10512.000 ns] a=0
[T=10512.00 ps] a=0
xmsim: *W,RNQUIE: Simulation is complete.

Пример №2:1 нс/100 пс

Вот тот же пример сверху с другой временной шкалой.

  
  
`timescale 1ns/100ps

  
Журнал моделирования
xcelium> run
[T=105] a=0
[T=10.50 ns] a=0
[T=10.50000ns] a=0
[T=10500.000 ns] a=0
[T=10500.00 ps] a=0
xmsim: *W,RNQUIE: Simulation is complete.

Пример №3:100 нс/1 нс

  
  
`timescale 100ns/1ns

  

#1 соответствует 100 нс, а значит, #10 дает 1000 нс

Журнал моделирования
xcelium> run
[T=1051] a=0
[T=1051.00 ns] a=0
[T=1051.00000ns] a=0
[T=1051000.000 ns] a=0
[T=1051000.00 ps] a=0
xmsim: *W,RNQUIE: Simulation is complete.


Verilog

  1. Учебник Verilog
  2. Конкатенация Verilog
  3. Верилог Задания
  4. Блокировка и неблокировка Verilog
  5. Верилог-функции
  6. Верилог Задача
  7. Примеры уровней Verilog Gate
  8. Генератор часов Verilog
  9. Математические функции Verilog
  10. Охват шкалы времени Verilog