Промышленное производство
Промышленный Интернет вещей | Промышленные материалы | Техническое обслуживание и ремонт оборудования | Промышленное программирование |
home  MfgRobots >> Промышленное производство >  >> Industrial programming >> VHDL

Как бесплатно установить симулятор и редактор VHDL

Хотя инструменты VHDL и FPGA часто очень дороги, легко получить доступ к современному программному обеспечению бесплатно, если вы студент. Существует несколько симуляторов, редакторов и IDE для работы с VHDL. В этой статье показано, как установить две самые популярные программы, используемые инженерами VHDL.

Симулятор VHDL

ModelSim от Siemens EDA (ранее Mentor Graphics) является наиболее распространенным симулятором VHDL, и есть вероятность, что вам придется использовать либо ModelSim, либо вариант программы QuestaSim в вашей первой работе VHDL. Хорошей практикой проектирования является сделать ваш код как можно более переносимым, и код в этом блоге не является исключением. Если у вас есть доступ к другому симулятору VHDL, просто используйте его; это должно работать аналогично.

Лицензии на симуляторы VHDL очень дороги, но, к счастью, доступно несколько бесплатных и легальных версий симулятора VHDL ModelSim.

Нажмите здесь, чтобы просмотреть список бесплатных вариантов установки симулятора VHDL для Windows, Linux или Mac

Редактор VHDL

Вы можете писать код прямо во встроенном редакторе ModelSim, но лучше использовать внешний редактор. Я буду использовать Notepad++ для своей серии руководств, это хорошая бесплатная альтернатива для Windows. Он также имеет подключаемый модуль VHDL для подсветки синтаксиса.

Вот видеоролик о том, как загрузить и установить редактор и подключаемый модуль VHDL:

Когда вы успешно установили ModelSim и Notepad++, у вас есть все необходимое для начала изучения VHDL!

Ознакомьтесь с основными учебными пособиями по VHDL здесь>>

Возможно, вы думаете:«А как насчет железа? Разве мне не нужна плата для разработки FPGA или что-то в этом роде?». В конце концов, вы захотите опробовать свой код на реальном оборудовании, но для единственной цели изучения VHDL вам понадобится симулятор.


VHDL

  1. Основы SRAM PUF и способы его развертывания для безопасности Интернета вещей
  2. Как создать список строк в VHDL
  3. Как создать управляемый Tcl тестовый стенд для модуля кодовой блокировки VHDL
  4. Как использовать нечистую функцию в VHDL
  5. Как использовать функцию в VHDL
  6. Как использовать процедуру в VHDL
  7. Как создать таймер в VHDL
  8. Как создать синхронизированный процесс в VHDL
  9. Как использовать константы и общую карту в VHDL
  10. Как правильно установить и заменить барабанные тормоза