Промышленное производство
Промышленный Интернет вещей | Промышленные материалы | Техническое обслуживание и ремонт оборудования | Промышленное программирование |
home  MfgRobots >> Промышленное производство >  >> Industrial programming >> VHDL

Курс FPGA — все, что вам нужно знать о курсе Dot Matrix VHDL

Я рад сообщить, что курс VHDL и FPGA, над которым я работал последние шесть месяцев, начинает завершаться. В настоящее время курс находится в стадии бета-тестирования, и я планирую запустить его впервые этой осенью.

Для кого предназначен курс FPGA?

Курс FPGA предназначен для разработчиков, знакомых с другими языками программирования, но плохо знакомых с VHDL и FPGA. Этот курс проведет вас от начального или среднего уровня до понимания и использования расширенных конструкций кодирования VHDL.

Курс идеально подходит для профессионального инженера-программиста, которому поручена разработка ПЛИС. Он также подходит для студентов или фрилансеров, интересующихся проектированием оборудования и, возможно, рассматривающих возможность карьерного перехода к разработке ПЛИС.

Любой, у кого есть базовые навыки программирования, может извлечь пользу из практического подхода, которому вас научит этот курс. Мы разрабатываем реальный, физический продукт с нуля. Я показываю вам, как именно я решил бы эту проблему, если бы меня как инженера FPGA попросили об этом.

Проект

Контроллер светодиодного дисплея с точечной матрицей — это продукт, который мы создаем в этом курсе. В наши дни матричные светодиодные дисплеи обычно используются для больших наружных рекламных щитов или информационных дисплеев. Хотя они использовались для небольших устройств, таких как цифровые часы и торговые автоматы, до появления недорогих ЖК-дисплеев.

Если хотите, вы можете пройти этот курс только как симуляционное упражнение. Вам не нужно покупать какое-либо оборудование или даже плату для разработки FPGA. Но вы можете создать свой собственный прототип, если хотите, вся информация включена в курс.

Lattice iCEstick FPGA Evaluation Kit — это плата для разработки FPGA, которая используется в этом курсе. Эта плата за 25 долларов оснащена FPGA iCE40 HX1K с 1280 логическими элементами и 64 КБ блочной оперативной памяти, чего более чем достаточно для нашего приложения. Плату iCEstick можно подключить непосредственно к макетной плате, что мы и сделаем при создании прототипа.

Мы создаем реализацию FPGA, способную управлять светодиодным дисплеем с точечной матрицей 8×8 и 64 светодиодами. FPGA будет хранить в блочной ОЗУ информацию о том, как отображать каждый символ ASCII.

Вы сможете отправлять текст на FPGA, используя USB-разъем, который присутствует на плате FPGA. Текст отображается на точечно-матричном дисплее по мере того, как мы набираем его в последовательном терминале на компьютере. FPGA будет передавать символы обратно на последовательный терминал с помощью приемопередатчика UART, который мы создадим в ходе курса.

Все детали, расходные материалы и инструменты, использованные для создания прототипа, см. в Спецификации.

Структура курса ПЛИС

120 лекций разделены на 17 разделов, а видеоролики длятся в среднем 10 минут. Я намеренно разделил сложный материал на несколько более коротких лекций, чтобы сделать его более управляемым. Каждый раздел посвящен модулю VHDL, испытательному стенду или концепции проектирования VHDL и FPGA. Этот курс ничего не упускает, объясняются все концепции и дизайнерские решения.

В разделе Начало работы раздел, устанавливаем необходимое ПО и настраиваем среду разработки. В следующем разделе представлена ​​макетная плата Lattice iCEstick FPGA, а также аналоговая схема матричного дисплея и вспомогательная схема.

Я объясню все, что я делаю на сеансах кодирования, чтобы вы могли следить за прогрессом, даже если у вас есть только базовые знания VHDL. Вы можете связать код, который мы пишем, с любым другим языком программирования, который вы знаете.

В этом курсе используется редактор Visual Studio Code (VSCode) с подключаемым модулем VHDL. VSCode — один из самых популярных редакторов программирования на данный момент, и он совершенно бесплатный. Мы используем фрагменты шаблонов из подключаемого модуля VHDL, чтобы не тратить время на тривиальный повторяющийся код. Хотя VSCode не является IDE, которая автоматически завершает код, не понимая, что происходит.

Мы синтезируем каждый модуль VHDL индивидуально и изучаем схему, созданную инструментом синтеза. Мы делаем это как последнюю лекцию каждого раздела кодирования, который создает производственный модуль RTL (уровень передачи регистров). Эти уроки научат вас ценным навыкам понимания того, как каждая строка кода преобразуется в цифровую логику.

Курс Dot Matrix LED Controller FPGA научит вас правильным методам проектирования VHDL. Сделайте все правильно при первом включении чипа, создав испытательный стенд для каждого модуля в каждой иерархии проекта. Метод проб и ошибок не является жизнеспособной стратегией при разработке оборудования. Курс научит вас разрабатывать тестовые стенды с самопроверкой в ​​качестве профессионального инженера ПЛИС.

В курсе используется ModelSim PE Student Edition, поскольку это наиболее часто используемый симулятор VHDL. Студенческую версию симулятора можно скачать бесплатно; это относится ко всему программному обеспечению, используемому в этом курсе.

Наконец, мы завершаем курс созданием физического прототипа на макетной плате и реализацией проекта на ПЛИС. Момент истины наступает, когда мы подключаем устройство к компьютеру через порт USB и вводим последовательный терминал. Будут ли символы отображаться на точечно-матричном светодиодном дисплее?

Именно тогда становятся очевидными преимущества строгого режима проверки. Проблемы, с которыми нам приходится сталкиваться, решить намного проще, чем не отвечающий чип, что, скорее всего, является первым результатом для проекта, основанного исключительно на FPGA.

Что вы узнаете из курса FPGA

Вы узнаете, как подходить к задаче как профессиональный инженер ПЛИС. Курс повысит ваши навыки VHDL до уровня, на котором вы будете чувствовать себя уверенно, выполняя любое задание VHDL.

Вот некоторые вещи чему вас научит этот курс:

Расширенные возможности VHDL

  • Пакеты, записи и подпрограммы
  • Защищенные типы (классы VHDL)
  • Типы доступа (указатели VHDL)
  • Общие
  • Атрибуты сигнала, такие как 'last_event или 'stable
  • Функции VHDL-2008, такие как иерархическая ссылка на сигнал

Расширенные стратегии тестирования

  • Компонент проверки
  • Функциональная модель автобуса
  • Самопроверяющийся тестовый стенд VHDL
  • Интерактивный тестовый стенд на основе TCL

Использование инструментов проектирования ПЛИС

  • Симулятор ModelSim VHDL
  • Программное обеспечение для синтеза Synplify Pro
  • Программное обеспечение для проектирования Lattice iCEcube2
  • Назначение контактов и ограничения по часам
  • Синтез, место и маршрут

Хотите узнать больше о курсе?

Обновлено 21 сентября 2019 г.: Я прошел курс.

Нажмите здесь, чтобы просмотреть программу курса

Открывается:

Подлежит решению .


VHDL

  1. Все, что вам нужно знать о дисперсиях пигментов
  2. Все, что вам нужно знать об IoT в обслуживании
  3. Все, что вам нужно знать о технических чертежах
  4. Все, что вам нужно знать о литье металлов
  5. Все, что вам нужно знать о внутренней части ветряной турбины
  6. Все, что вам нужно знать о конденсаторе MFD
  7. Все, что вам нужно знать о Plunge EDM
  8. Все, что вам нужно знать о чугуне
  9. Все, что вам нужно знать о доменной печи
  10. Все, что вам нужно знать о сверлильном станке