Промышленное производство
Промышленный Интернет вещей | Промышленные материалы | Техническое обслуживание и ремонт оборудования | Промышленное программирование |
home  MfgRobots >> Промышленное производство >  >> Manufacturing Technology >> Промышленные технологии

Руководство от схемы до проектирования печатной платы на основе Altium Designer

Печатная плата, сокращенно от Printed Circuit Board, изготавливается по технологии электронной печати и отвечает за электрическое соединение компонентов. Принципиальная схема является единственным принципом, которого должна придерживаться конструкция печатной платы, определяя количество слоев печатной платы в соответствии со сложностью принципиальной схемы и размером печатной платы, чтобы, наконец, реализовать функции, установленные разработчиками схем. По количеству слоев печатные платы можно разделить на однослойные, двухслойные, четырехслойные, шестислойные и другие типы печатных плат с несколькими слоями. С развитием электронных технологий печатные платы стали широко применяться во всех областях, и их устанавливают практически во все электронные устройства.

Существует множество типов программного обеспечения для проектирования печатных плат со своими преимуществами и недостатками, и в этой статье Altium Designer применяется в процессе от проектирования принципиальной схемы до создания файлов проекта печатной платы.

Процесс проектирования

• Дизайн схемы


Перед проектированием типа продукции необходимо выполнить проект схемы, спланировать базовый модуль схемы, нарисовать принципиальную схему, и все это можно выполнить вручную. Затем в качестве примера будет применен простой проект для демонстрации процесса проектирования печатной платы.


• Схема схемы


Все начинается с идеи. Затем идет принципиальная схема и, наконец, дизайн печатной платы. Дизайн принципиальных схем является основой проектирования печатных плат, коррелируя с эффектом проектирования печатных плат, поэтому, если что-то пойдет не так в принципиальной схеме, некоторые ошибки должны произойти с печатными платами. Поэтому в первую очередь необходимо определить правильность и точность построения схематического представления.


• Создание принципиальной схемы


1). Откройте Altium Designer и войдите в основной интерфейс.


В соответствии с приоритетом щелкните Файл>>Создать>>Проект>>Проект печатной платы и завершите создание инженера перед сохранением этого файла. Одно из преимуществ создания инженерного файла заключается в том, что вам удобно администрировать файлы, включая файлы символов схематического представления (.schlib), файл упаковки печатной платы (.pcblib), файл схематического представления (.SCH) и файл платы (.PCB), которые все они содержатся в инженерном файле. После перевода и редактирования принципиальной схемы список цепей будет вестись в печатной плате, чтобы схематическая диаграмма и печатная плата считались коррелированными, и между файлами можно было выполнять интерактивные операции. Если они не помещены в один и тот же инженерный файл, печатная плата и принципиальная схема будут считаться независимыми друг от друга, и список соединений принципиальной схемы не будет автоматически приведен в файл печатной платы. Если инженерного файла нет, путь к принципиальной схеме необходимо найти в процессе ведущего списка цепей в плате. В какой-то степени удобнее установить инженерный файл.


2). Схематическая диаграмма полностью построена на связи между схематическими символами, поэтому сначала необходимо установить схематические символы.


В инженерном файле щелкните File>>New>>Libraries>>Schematic Libraries и сохраните. Находясь в файле схематического представления, последовательно установите необходимые схематические символы. В схеме этой статьи переключатель, светодиоды и розетка включены в схему, а символы этих компонентов сохранены в Altium Designer. Однако, если вы хотите создать дизайн, полностью совместимый с вашими требованиями, лучше всего создать собственную библиотеку. На самом деле мало кто из инженеров использует встроенную библиотеку. В процессе создания библиотеки вам нужно будет найти спецификации соответствующих компонентов, на основе которых ваша конструкция сможет обеспечить правильность названий и маркировок выводов, таких как анод и катод светодиода или три вывода транзистора.

3). Создание упаковки для печатных плат.


Щелкните File>>New>>Libraries>>PCB Libraries (.pcblib) и сохраните. От того, хорошо ли изготовлена ​​упаковка печатных плат, зависит технологичность печатных плат. Помимо соответствия между анодом и катодом и схематическими обозначениями, упомянутыми выше, необходимо также обратить внимание на множество деталей. Например, большое внимание должно быть уделено корпусу QFP, поскольку спецификации обычно предусматривают вид сзади, поэтому он должен пройти визуализацию в процессе создания пакета печатной платы. В противном случае установленная библиотека противоположна. Площадка для компонентов и размер переходного отверстия также соответствуют принципам. Размер переходных отверстий компонента зависит от диаметра штырьков компонента, а размер контактной площадки — от размера переходного отверстия.


Возьмем пример корпуса светодиода, в котором диаметр светодиода составляет 3 мм, расстояние между выводами 2,54 мм и диаметр контактов 0,6 мм. В интерфейсе библиотек плат:


а. Размещение прокладки
①. Через диаметр колодки =диаметр штифта компонента + 0,3 мм + 0,9 мм;
②. Pad разработан, чтобы быть кругом. Его диаметр =накладка через диаметр 0,9 мм + 1,2 мм =2,1 мм (обычно 1-сторонняя доска + 1,2 мм, а 2-сторонняя + 1,0 мм);
③. Если применяется поверхностный монтаж, контактная площадка обычно размещается на верхнем слое;
④. Координата размещения:X (-1,27 мм) и Y (0);
⑤. Площадка определяется как 1 контакт (обозначение определяется как 1);
⑥. Вторая контактная площадка размещается тем же способом, за исключением того, что ее координаты размещения — X (1,27 мм) и Y (0), а контактная площадка спроектирована как 2-контактная с обозначением, определенным как 2.


Для удобства изготовления исходная точка устанавливается как центр компонента в корпусе компонента, поэтому координаты упомянутых выше контактных площадок соответственно равны 1,27 и - 1.27.


б. Шелкография рисуется сразу после размещения пэда.


в. Сохраните и назовите файл.


4). После того, как условные обозначения компонента и пакета печатной платы установлены, следует соединение между условными обозначениями и корпусом печатной платы.


Пакет печатной платы должен быть связан с символами схемы в атрибуте компонента. После создания библиотек схемных символов и библиотек пакетов печатных плат необходимо отредактировать и перевести соответствующие библиотеки. Тем временем Altium Designer проверит информацию о каждом компоненте и, если возникнут ошибки, автоматически откроет окно подсказки.


5). Схематический рисунок.


После создания условных обозначений схемы и упаковки печатной платы начинается рисование схемы. В файле проекта щелкните Файл>>Создать>>Схема.


В интерфейсе схемы выбирается чертеж формата А4 (собственно, подходящий чертеж можно выбрать по вашему проекту). Затем найдите установленные схематические символы и поместите их в интерфейс схемы один за другим. Наконец, контакты должны быть подключены через электрические соединительные линии.


Когда схематическая диаграмма завершена, ошибки в проекте могут быть автоматически проверены. На схематической диаграмме щелкните правой кнопкой мыши готовую диаграмму и выберите «Компилировать документ ***.Schdoc» во всплывающем меню.


• Создание мух для печатных плат


В файле проекта нажмите File>>New>>PCB>>save.


1). Начертить рамку из печатной платы и ее размер можно установить в соответствии со структурой системы. На механическом 1 нарисован каркас листа печатной платы. В примере из этой статьи размер печатной платы составляет 62*55 мм.


2). Ввод компонентов. Информация о компонентах на принципиальной схеме и в списке цепей приводится на печатной плате. Нажмите «Дизайн»>> «Обновить документ платы ***.pcbDOC»>> «Подтвердить изменения» и «Выполнить изменения».


3). Настройка правил оформления. В этой части задаются ширина линии, расстояние между линиями, ширина линии линий электропередач и линий заземления.


4). Макет. Хорошая планировка — это половина дела. Правила, которых вы должны придерживаться при макетировании, должны включать:
а. Отверстия для расположения должны быть исправлены.
b. Компоненты, которым требуется фиксированное расположение, должны быть зафиксированы в первую очередь, чтобы предотвратить их перемещение при перемещении других компонентов.
c. Вёрстку следует вести от большого к меньшему, от сложного к лёгкому на основе функциональных модулей.


5). Маршрутизация. Маршрутизация — это процесс, при котором линии и переходы размещаются на печатной плате для соединения компонентов:
a. Интерактивная маршрутизация:нажмите «Разместить»>>Интерактивная маршрутизация, когда курсор превратится в крестик для маршрутизации.
b. Автоматическая маршрутизация:щелкните Автомаршрут>>Все>>Маршрутизировать все. Затем запускается автоматическая маршрутизация.


6). Добавление каплевидного и медного покрытия помогает повысить механическую напряженность между выводами и контактной площадкой или между сквозными переходными отверстиями.


Как правило, после трассировки на печатную плату следует нанести массивное медное покрытие. Обычно медное покрытие наносится для соединения с заземляющими линиями и увеличения площади заземляющих линий, что способствует снижению импеданса заземляющей линии для обеспечения стабильной передачи мощности и сигнала.


7). ДРК.


Щелкните Инструменты>>Проверка правил проектирования. Через информационную доску вы можете просмотреть список отчетов об ошибках, на основе которого вы можете изменить дизайн своей печатной платы, после чего выполняется DRC до тех пор, пока ошибки не исчезнут.

Вывод файлов платы

• Файл Gerber:поставляется производителю печатной платы.
• Схема сборки:этот файл предлагается в мастерскую в качестве руководства по эксплуатации.
• Координата компонента:предлагается в мастерскую для автоматической установки на станок.
• Спецификация:предлагается отделу снабжения и производственному отделу.
• При необходимости другие файлы в других форматах.

Дизайн завершен

На данный момент мы завершили весь дизайн печатной платы от принципиальной схемы до вывода файлов печатных плат. В этой статье представлен обзор этого процесса, а более подробную информацию вы найдете в своей практике.

PCBCart поможет вам в производстве ваших печатных плат

Являясь китайским производителем печатных плат с более чем 10-летним опытом изготовления и сборки печатных плат на заказ, PCBCart имеет возможности производить практически все виды печатных плат. Готовы ли файлы дизайна печатной платы к производству? Вы можете начать с получения цены на печатную плату здесь.


Полезный ресурс:
• Учебное пособие по проектированию печатных плат для тех, кто использует Altium Designer
• Требования к файлам для эффективного изготовления печатных плат
• Полнофункциональная услуга по изготовлению печатных плат с несколькими дополнительными опциями
• Сокращение Стоимость изготовления печатных плат путем проектирования схемы в рамках стандартных возможностей PCBCart
• Подробное руководство по составлению сметы для проектов по производству печатных плат
• Помимо изготовления печатных плат, PCBCart также предлагает услуги по полной сборке печатных плат под ключ


Промышленные технологии

  1. Пакет проектирования печатной платы переносится в облако
  2. Руководство по уменьшению ошибок при проектировании печатных плат
  3. Сокращение выбросов ПХД Практика проектирования с низким уровнем шума
  4. Программное обеспечение для разводки печатных плат
  5. Рекомендации по компоновке печатной платы
  6. Учебное пособие по проектированию печатных плат Ultraboard
  7. Учебное пособие по проектированию печатных плат KiCAD
  8. Советы по быстрой компоновке
  9. Вопросы теплового дизайна печатной платы
  10. Расчет внутреннего рассеивания тепла печатной платы на основе тепловой модели